ASML shipped 26 extreme ultraviolet lithography (EUVL) step-and-scan systems to its customers last year, and the company plans to increase shipments to around 35 in 2020. And the ramp-up won't stop there: as semiconductor fabs ramp up their own usage of EUV process technologies, they are going to need more leading-edge equipment, with ASML expecting to sell up to 50 EUVL scanners in 2021.

With 26 EUV scanners sold last year, ASML did not quite meet its goal of selling (which means building and installing) 30 EUV production tools in 2019. Nonetheless, it is still considerably higher than 18 EUV tools shipped in 2018. In the Q4 2019 alone, ASML shipped eight EUV machines, and received orders for nine more, which is a good indicator that demand for EUV tools is growing as semiconductor makers adopt EUVL for high-volume manufacturing (HVM) using their 5 nm and 7 nm nodes.

What is no less important than record EUV shipments is that in 2019 the company deployed six Twinscan NXE: 3400C scanners that can process more than 170 300-mm wafers per hour (up from 125 WPH – 155 WPH in case of the NXE: 3400B) and which use the latest light source from Cymer. The final configuration of the Twinscan NXE: 3400C will cost about 30% more than the NXE: 3400B, which will (among other things) help to drive ASML’s EUV revenue from €2.8 billion in 2019 to €4.5 billion in 2020.

ASML's EUV Shipments
  2018 2019 2020 2021
Actual 18 26 35 45 - 50
Target 20 30 ? ?

One of ASML’s complications though is that because demand for EUV scanners consistently outpaces supply,  the company cannot meet its own sales goals. In 2018 the company shipped 18 EUV scanners, two short of its goal of 20. And in 2019 it missed its own target by four units, which slipped to 2020 ‘due to temporary supply constraints in the NXE:3400C ramp’ and are now a part of this year’s target. In fact, some market observers estimate that ASML may have a backlog of as many as 49 EUV scanner orders.

ASML believes that in a bid to meet its EUV shipments targets for 2020 and 2021, it will have to increase its output capability by reducing its cycle time for EUV tools because lead time reduction takes longer. The company is confident that it can increase its output capability to ‘above 50’, though to go above 60 it will have to use its production facility currently constructed for high-NA (0.55 NA) to build its EUV low-NA (0.33 NA) tools. Considering that high-NA scanners are years away, the decision may be instrumental, but will require additional investments.

Peter Wennink, CEO of ASML, said the following:

In EUV, it was a breakthrough year with the technology now starting in high volume production and producing consumer products that are available in the market. As we continue to execute on our accelerated EUV roadmap, we were able to ship our first NXE:3400C in 2019, which provides higher productivity, translating to increased customer value, delivering higher ASP and improved gross margins. We shipped six 3400C systems in Q4, of the eight EUV systems total we shipped in the quarter, bringing the total to 26 EUV systems and full year sales of around 2.8 billion euros in 2019.[…]

For full-year 2020, we plan for EUV sales of around €4.5 billion on 35 systems. We continue to see demand building for next years' shipments and expect a healthy order flow to continue. In order to fulfill the expected strong demand increase, we are working on cycle time reduction to enable a capacity of 45-50 systems next year. 2021 is shaping up to be a very busy year.

Related Reading:

Sources: ASML, WikiChip, SeekingAlpha

Comments Locked

22 Comments

View All Comments

  • eastcoast_pete - Sunday, January 26, 2020 - link

    I guess it would be a "wholly owned subsidiary" or some such thing. But, yes, same difference.
  • alphasquadron - Thursday, January 23, 2020 - link

    "Suffering is a part of life"
    -Peter Wennink, CEO of ASML, when asked if his company was a monopoly.
  • eastcoast_pete - Thursday, January 23, 2020 - link

    What I would like to know is whom ASML has sold/is selling those 26/35 EUV scanners to? Are they all going to TSMC and Samsung?
  • Eliadbu - Friday, January 24, 2020 - link

    Intel for sure have also ordered some of those machines and considering they plan to roll out their EUV process next year I bet they some share of the production.
  • eastcoast_pete - Sunday, January 26, 2020 - link

    I would love to see a link to the source for that. The reason I am asking is that if a chipmaker commits to spending over $ 120 million for even just one of those scanners, it's a really good sign that they are serious about starting an EUV process node. So, does anybody know/have a source that Intel ordered or maybe already installed some of those?
  • Santoval - Friday, January 24, 2020 - link

    TSMC should absorb at least half of them, followed by Samsung and lastly by Intel. Intel have not yet deployed EUV fabbed chips commercially but they have been testing EUV for quite some time. Since they are targeting a 2021 release of 7nm based parts (which I *strongly* doubt they're going to pull off) with plenty of EUV layers, this year they should move beyond testing, developing and prototyping, and into taping 7nm wafers to iron out the kinks, including sending samples to their partners.
  • Santoval - Friday, January 24, 2020 - link

    edit: "...taping *out*..."
  • SaberKOG91 - Friday, January 24, 2020 - link

    TSMC are targeting 5nm in the 2H20. They are using EUV on that node to get the mask count down a bit lower than 7nm. To the best of my knowledge, they don't have EUV in production for any of the 7nm nodes.
  • Spunjji - Friday, January 24, 2020 - link

    TSMC's 7nm+ uses EUV
  • Santoval - Thursday, February 20, 2020 - link

    Both TSMC's 7nm+ node and its minor variant 6nm node employ EUV for some layers.

Log in

Don't have an account? Sign up now